Welcome![Sign In][Sign Up]
Location:
Search - FIFO on vhdl

Search list

[VHDL-FPGA-Verilogvhdl0716

Description: ISE7.1,采用VIRTEX-II芯片。实现adc数据采样,平均,通道选择,采样时钟选择,数据格式调整,内含fifo,uart等模块。-ISE7.1, using VIRTEX-II chip. Adc realize data sampling, on average, channel selection, the sampling clock select, adjust data formats, including fifo, uart modules.
Platform: | Size: 8431616 | Author: 杨奋燕 | Hits:

[Embeded-SCM Developfifov1

Description: FIFO(先进先出队列)通常用于数据的缓存和用于容纳异步信号的频率或相位的差异。本FIFO的实现是利用 双口RAM 和读写地址产生模块来实现的.FIFO的接口信号包括异步的写时钟(wr_clk)和读时钟(rd_clk)、 与写时钟同步的写有效(wren)和写数据(wr_data) 、与读时钟同步的读有效(rden)和读数据(rd_data) 为了实现正确的读写和避免FIFO的上溢或下溢,给出与读时钟和写时钟分别同步的FIFO的空标志(empty)和 满标志(full)以禁止读写操作。-FIFO (FIFO queue) is usually used for data caching and asynchronous signal used to accommodate the frequency or phase differences. The realization of this FIFO is to use dual-port RAM and to read and write address generator module achieved. FIFO interface signals, including asynchronous write clock (wr_clk) and read clock (rd_clk), and write effectively write clock synchronization (wren) and write data (wr_data), clock synchronization and time effective reading (rden) and read data (rd_data) in order to realize the right to read and write and to avoid FIFO overflow or the underflow, is given with the time clock and write clock synchronization FIFO respectively empty signs (empty) and full logo (full) to prohibit the read and write operations.
Platform: | Size: 378880 | Author: lsg | Hits:

[VHDL-FPGA-Verilogfifo_VHDL

Description: FIFO的源代码,详细描述FIFO的工作原理和过程,用VHDL编写。-FIFO of the source code, a detailed description of the work of FIFO principle and process of preparation with VHDL.
Platform: | Size: 9216 | Author: 胡志敏 | Hits:

[VHDL-FPGA-Verilogvideo_fifo

Description: 有关视频方面的fifo设计,vhdl编写-Fifo on the video aspects of the design, vhdl prepared
Platform: | Size: 2048 | Author: 曾工 | Hits:

[VHDL-FPGA-VerilogFIFO

Description: FIFO的源代码,对FIFO设计有帮助,有借鉴意义,帮助学习VHDL编程-FIFO of the source code, on the FIFO design help, there is reference to help learn VHDL programming
Platform: | Size: 1024 | Author: 胡清泉 | Hits:

[VHDL-FPGA-Verilog16×4bitFIFO

Description: 16×4bit的FIFO设计,VHDL语言编的的,能在ISE上仿真出来结果。-16 × 4bit the FIFO design, VHDL language series that can come out in the ISE on the simulation results.
Platform: | Size: 4096 | Author: 张军 | Hits:

[VHDL-FPGA-Verilogfifo8x9

Description: 8位深,9位宽FIFO VHDL源码设计,如需改进可在此基础上扩展-8 deep, 9-bit wide FIFO VHDL source design, for improving on this basis can be extended
Platform: | Size: 1024 | Author: lxy | Hits:

[VHDL-FPGA-Verilogasyn_FIFOandFPGAdesign

Description: 一篇关于FIFO设计以及FPGA设计的文章-FIFO 1 on the design and FPGA design article
Platform: | Size: 453632 | Author: Roger | Hits:

[OS DevelopCliffordECummingsFIFO

Description: 超值奉献,Clifford E. Cummings FIFO关于异步FIFO的两篇文章,同时附有中文解说,主要讲解异步FIFO的实现难点---空满标志的产生,以及读写地址的产生-Value dedication, Clifford E. Cummings FIFO asynchronous FIFO on the two articles, at the same time accompanied with a Chinese guide, mainly on the realization of asynchronous FIFO difficult--- the emergence of space-age logo, as well as read and write addresses generated
Platform: | Size: 227328 | Author: horse | Hits:

[MPIv2_fifo_vhd_258

Description: 这是一个基于xilinx ISE9.1的一个历程,包含两个FIFO代码,第一个FIFO读写用同一个时钟,第二个FIFO读写用不同的时钟。-This is a xilinx ISE9.1 based on a course code consists of two FIFO, the first FIFO read and write using the same clock, the second FIFO read and write with a different clock.
Platform: | Size: 92160 | Author: muerqing | Hits:

[VHDL-FPGA-VerilogFifo

Description: 一个FIFO源代码,基于Altera FPGA-A FIFO source code, based on Altera FPGA
Platform: | Size: 1024 | Author: jiashengwen | Hits:

[VHDL-FPGA-Verilogfifoi

Description: 基于Xilinx Vertex2的可综合的2048x10位的读写可控制FIFO模块源代码,深度可控-Based on the Xilinx Vertex2 can be integrated 2048x10-bit read and write can control the FIFO module source code, the depth of controllable
Platform: | Size: 2048 | Author: | Hits:

[Special Effectsvideo_process_base_on_DSPandFPGA

Description: 基于高速数字信号处理器(DSP) 和大规模现场可编程门阵列( FPGA) ,成功地研制了小型 化、低功耗的实时视频采集、处理和显示平台. 其中的DSP 负责图像处理,其外围的全部数字逻辑功能都集成在一片FPGA 内,包括高速视频流FIFO、同步时序产生与控制、接口逻辑转换和对视频编/ 解码器进行设置的I2 C 控制核等. 通过增大FIFO 位宽、提高传输带宽,降低了占用EMIF 总线的时间 利用数字延迟锁相环逻辑,提高了显示接口时序控制精度. 系统软件由驱动层、管理层和应用层组成,使得硬件管理与算法程序设计彼此分离,并能协同工作. 系统中的图像缓冲区采用了 三帧的配置方案,使得该平台最终具有对PAL/ N TSC 两种制式的全分辨率彩色复合视频信号进行实时采集、显示和处理的能力.-Based on high-speed digital signal processor (DSP) and large-scale field programmable gate array (FPGA), successfully developed a smaller, low-power real-time video capture, processing and display platform. One of the DSP is responsible for image processing, all its external digital logic functions are integrated in a FPGA, including high-speed video streaming FIFO, synchronous sequential generate and control, conversion and interface logic for video encoder/decoder to set up the control of nuclear and other I2 C. through increased FIFO bit width, increase the transmission bandwidth, reducing the time occupied by EMIF bus delay phase-locked loop using digital logic,
Platform: | Size: 546816 | Author: John | Hits:

[VHDL-FPGA-VerilogFPGA_FIFO

Description: 使用Verilog编写的同步FIFO,可通过设置程序中的DEPTH设置FIFO的深度,FIFO_WRITE_CLOCK上升沿向FIFO中写入数据, FIFO_READ_CLOCK上升沿读取数据。本程序对FIFO上层操作简单实用。-Prepared by the use of Verilog synchronous FIFO, through the setup program in the FIFO depth DEPTH settings, FIFO_WRITE_CLOCK rising edge to the FIFO write data, FIFO_READ_CLOCK rising edge of read data. This procedure on the upper FIFO operation simple and practical.
Platform: | Size: 1024 | Author: 张键 | Hits:

[VHDL-FPGA-VerilogFIFO

Description: 512×8bid的FIFO 含工程文件,基于QUARTUs-512 × 8bid the FIFO with the project document, based on the QUARTUsII
Platform: | Size: 4096 | Author: 邵捷 | Hits:

[VHDL-FPGA-VerilogFIFO_Design

Description: 一种基于格雷码的异步FIFO设计与实现,8*8位的fifo VHDL 源码-Gray-code based on the Asynchronous FIFO Design and Implementation
Platform: | Size: 90112 | Author: qwe | Hits:

[Software Engineeringfifo

Description: 异步fifo的经典讲解,包括亚稳态的产生,同步电路的构造,fifo电路的结构,源代码实现。-Asynchronous fifo on the classic, including the emergence of metastable, the structure of synchronous circuits, fifo circuit structure, the source code to achieve.
Platform: | Size: 3224576 | Author: 王玉 | Hits:

[OtherFIFO

Description: fifo的实现,可以作用于memory的数据传输等地方,在fpga上实现,可以进行综合和仿真-fifo implementation, you can act on memory data transfer and other places, in the fpga to achieve, to undertake a comprehensive and Simulation
Platform: | Size: 6144 | Author: zz | Hits:

[VHDL-FPGA-Verilogfifo

Description: Asynchronous FIFO source code
Platform: | Size: 364544 | Author: hr | Hits:

[VHDL-FPGA-VerilogEDA-experiments-based-on-VHDL

Description: 上传的文件包括E有关EDA实验的程序,比如FIFO,秒表,数字钟,七段数码管,状态机检测序列-The files uploaded contain some source code of EDA experiments based on VHDL, such as FIFO, digital clock, stop watch, digital tubes and sequential detector.
Platform: | Size: 4096 | Author: shi xin | Hits:
« 12 »

CodeBus www.codebus.net